0 投票
分类:FPGA | 用户: (530 分)
某个模块内部的logic level太大,在不修改该模块代码的前提下,如何进行优化?

1个回答

0 投票
用户: (380 分)

可以将该模块的输入多打几拍,然后再设置reming的策略,工具会自动将多出来的触发器插入到中间的组合电路中,减少logic level。但该方法未必一定会生效,跟RTL的写法也有关系。可以考虑尝试一下。

欢迎来到 问答社区 ,有什么不懂的可以尽管在这里提问,你将会收到社区其他成员的回答。
...